www.fgks.org   »   [go: up one dir, main page]

Spring04 coverstory

Page 1


Cover

Story

Eliminating Buried Yield Killers e-Beam Inspection: Best Practices for Copper Logic and Foundry Fabs1 David W. Price, Todd Henry, and Robert Fiordalice, KLA-Tencor Corporation

Monitoring and eliminating buried electrical defects has become critical for 130 nm copper devices and below. As a result, electron-beam inspection is being widely adopted for development, ramp, and volume production monitoring. In this paper we describe current implementation of e-beam inspection technology for copper logic and foundry fabs, including specific case studies which illustrate the benefits of applying e-beam inspection technology from development through volume production. We also describe methods used to overcome common implementation hurdles. We then pair best practices with new advances in e-beam inspection technology to model the optimal implementation for a hypothetical 20,000 WSPM 300 mm fab. Introduction

Challenges for production of 130 nm copper (Cu) devices stem from shrinking process windows, complex integration schemes, and the introduction of novel materials. A key issue in manufacturing these devices is that many of the yield-relevant defect types are not detectable using conventional optical inspection tools. Examples include buried Cu via voids, under-etched vias and trenches, and organic residue at the bottom of high aspect ratio dual damascene vias and trenches. E-beam inspection (EBI) can detect these buried electrical defects through the use of voltage contrast (VC) by detecting interruptions in the interconnect path to ground (Figure 1).2 In VC mode EBI is essentially an inline electrical test on product wafers. EBI has been widely adopted by leading-edge fabs as an engineering analysis tool during development and ramp. For example, 30 out of 35 Cu fabs worldwide have at least one EBI tool being used in this manner. EBI engineering analysis applications have been documented in the literature by several leading chipmakers, including Toshiba,3 ST Microelectronics,4 and TSMC.5 Spring 2004

www.kla-tencor.com/magazine

13


C

O

V

E

S

R

T

O

R

E-Beam eeee-

Contact or Via Etch

e-e-ee-

eee-

Voltage Contrast Imaging

Y

These results are predicated on the performance of the KLA-Tencor eS30, an EBI tool which incorporates KLA-Tencor’s latest technology for development, ramp and volume production applications. Best practices for EBI implementation

Users typically employ higher sensitivity (small pixel) recipes when building the baseline defect Paretos. In development, when defect densities are high, accurate baseline Paretos can be developed by small area inspections (<10 percent of wafer). As systematic problems are resolved and defect densities decrease, more inspection area is needed to attain a statistically valid baseline Pareto.

It is important to note, however, that To assess best practices while the sampling requirements we conducted a survey change as a function of the defect Figure 1. EBI is the only technology that can detect buried of current EBI utilizadensity, in almost every case fabs will electrical defects inline on product wafers. Examples of these tion within the Cu fab still sample across the entire wafer to defects include buried voids, under-etch, and residue in highinstalled base. In the obtain wafer-level defect signatures. aspect ratio contacts. back end of line (BEOL), Such “full wafer signatures” are top applications consisobtained by inspecting alternate die Recently, examples of production tently included the minimum pitch rows, using run-time swath skipping, implementation of e-beam inspecVia 1 module. At Via 1 the most or employing area-accelerated (eD0) tion for electrical line monitoring have critical defect types were voids, test structures such as those dessurfaced, including papers from under-etched, over-etched or misscribed by Weiner et al.11 A typical Motorola,6 Texas Instruments,7 ing vias, and residue. Inspections for eS30 volume production inspection Samsung,8 and Altis.9 This trend this module are most commonly at sample plan is shown in Figure 2. appears to be driven by the recurVia 1 etch (for photo/etch dominatrence of EBI-unique defects in Table 1 summarizes the production ed defectivity) and the subsequent production, particularly at 130 nm line monitor utilization of e-beam Cu CMP step (Via 1 CMP or M2 design rules and below. FurtherCMP for single and dual more, volume production applications damascene processes, Die Layout are expected to accelerate with the respectively). Upper introduction of a new generation of metal Cu CMP and high speed EBI tools. For example, SRAM via/trench etch inspecthe new KLA-Tencor eS30 is at least tions are also important two times faster than the previousdespite the looser design Logic generation EBI tool (eS20XP), and rule, due to film thickcan be as much as 12 times faster for ness variations and intecertain sample plans. Improvements Inspected Area gration problems. to production worthiness, defect binning, and ease-of-use have also The most common EBI Figure 2. eS30 throughput is sufficient for volume production facilitated implementation in propoint in the front end of monitoring of 300 mm wafers. Typical production sample duction implementation.10 line (FEOL) is contact, plan: 35 percent of die area (logic portion), full 300 mm whether at etch or at wafer. Total inspection time including overhead is 55 minutes. In the following sections we will tungsten (W) CMP. describe some of the current best Driven by shallow juncCobalt/ Contact M1 M2 M3+ practices for EBI implementation in Nickel Etch or Via 1 tion development, e-beam CMP CMP CMP Silicide CMP Cu logic and foundry fabs; these will inspection of the cobalt Percentage of Fabs include a benchmarking survey and silicide (CoSi2) or nickel utilizing EBI line 33% 67% 44% 56% 100% 67% at key representative case studies. Finally, silicide (NiSi) modules monitoring inspection steps we model the ideal fab EBI impleare also rapidly becoming mentation and determine the correTable 1. Percentage of nine sur veyed fabs applying EBI line critical applications. sponding return on investment. monitoring at critical layers. 14

Spring 2004

Yield Management Solutions


C

inspection for nine Cu fabs. Line monitor wafer sampling strategies are dependent upon the size of the yield excursion that is to be detected. Many customers who employ critical area models quickly conclude that large-sized chips require more wafer inspection area in order to find smaller excursions. Modeling and empirical fab data indicate that for most volume production monitoring applications sufficient area can be inspected on the eS30 in a one-hour inspection time. Faster development and ramp

EBI enables users to accelerate yield learning during development and ramp by providing an inline measurement of yield-relevant defectivity. In general, the key to successful inline yield learning cycles is a complete understanding of the distribution and frequency of killer defects. Fabs have long used optical inspection tools to develop such understanding for physical defects. However, the transition to damascene Cu structures fundamentally increased the importance of buried electrical defects, such as Cu voids and under-etched vias. To address this need, most Cu fabs have adopted EBI over the last five years. This technology provides rapid quantification of yield-relevant, electrical defectivity to the engineering teams conducting process window splits and integration studies. In addition, EBI provides faster localization of yield-relevant defect sites. Compared to end-of-line wafer probe and conventional failure analysis, inline EBI can reduce the average time of a learning cycle from weeks to days. The benefits of this approach are illustrated by Mizuta and Amai.3 They describe how Toshiba used VC inspection during development and ramp of their 90 nm Cu process. In particular, the authors implemented

O

V

E

R

S

T

O

R

Y

Overcoming post Cu CMP queue time constraints Table 1 indicates that the some of the most frequent e-beam inspection points are following Cu CMP. However, many Cu CMP processes impose a queue time constraint to minimize the risk of corrosion. Typically, this constraint is on the order of four to 12 hours from finishing the final postpolish scrub until beginning the etch stop layer (silicon nitride or silicon oxynitride) deposition. Cu fabs employ several strategies to implement post Cu CMP EBI monitors within the imposed cycle time restrictions. The most common strategy is to implement the post Cu CMP EBI monitor after the nitride cap has been deposited. This is frequently the fab’s preferred approach because it removes the queue time constraint altogether. In some cases, however, this can be a more challenging inspection to perform. Imaging through the nitride cap layer requires a higher than normal landing energy, e.g. 1800eV for a 400Å cap. Furthermore, the nitride cap makes it more difficult to control surface charging; in many cases, some form of active charge control, such as the e-Control™ capability found on the eS25 and eS30, is required.

Electron Beam Nitride cap to protect Cu from corrosion

Cu M1 CMP post Cap LE=1000eV No contrast

M1 CMP post Cap LE=1800eV Good contrast

After Cu CMP, a nitride layer is deposited on top to protect Cu from corrosion. A higher landing energy is needed to penetrate the nitride cap and detect defects of interest. Active charge control (e.g., e-Control on KLA-Tencor eS30) is also required to control surface potential.

Another approach is to simply perform the inspection within the allotted queue time. Most production EBI monitors take only about one hour per wafer which, in most cases, will leave sufficient time for other inspection and metrology steps that must also be performed within the queue time. However, the non-steady flow of material through the Cu module may periodically result in a queue at the EBI tool. It then becomes necessary to balance the risk of violating the queue time on some lots against the risk of skipping inspection and missing an excursion. A third approach is to split inspected wafers from the lot after Cu CMP: the remainder of the lot is capped immediately, while the inspected wafers queue for inspection before being rejoined. The latter method usually requires fab automation typical of advanced 300 mm fabs.


C

O

V

E

R

S

T

O

R

Y

Getting to the defect of interest Identifying a defect of interest requires both the inspection sensitivity to detect the defect, and the ability to separate it from the many other defect types in a typical inspection result. The latter is particularly important for e-beam inspection (EBI) which, because of its inherently high sensitivity, is able to detect a wide variety of defect types. KLA-Tencor uses a sequential defect-segregation approach on the eS30 which provides a powerful and flexible combination of imaging configurations and algorithms to quickly highlight defects of interest, suppress nuisance defects, and then bin the defects not of interest. This allows an EBI user to efficiently resolve even the tail of the defect Pareto, and to control the line based on the most relevant yield-impacting contributors. This method consists of three elements: optimized imaging conditions; nuisance filtering and binning algorithms that take place during the inspection; and post-inspection sorting capability that allows the user to generate the most useful sample plan for high resolution review on the eS30.

Widest Range of Imaging Conditions

Real-Time Binning Algos

Review Sorting Tools

WISE-NF

best known methods to overcome two common EBI implementation challenges: throughput and defect separation. First, they optimized throughput and sampling by characterizing capture rate as a function of pixel size. They showed that an 86 percent capture rate could be achieved using a large (0.30 µm) pixel size for the primary defects of interest (VC lines, islands). As a result, they were able to implement full-wafer sampling (eight percent die area) in a 50-minute inspection on the eS20XP. The authors also demonstrate how inline automatic defect classification (iADC) can eliminate the need for manual review by binning several types of VC and physical defects with greater than 95 percent accuracy and purity. In total, Toshiba reported that EBI enabled them to achieve a faster killer-defect analysis cycle, thereby ramping their 90 nm process about 25 percent faster than their 130 nm process (Figure 3).3

iADC

• Enhance DOI signal • Suppress non-DOI

• Filter nuisance • Bin DOI’s

Rule-based sorting creates the most relevant review sample plan

0.35 um 0.25 um 0.18 um 0.13 um 0.09 um

Defect Density

BMK’s from>60 sites WW

A complete EBI defect segregation strategy requires optimized imaging conditions, real-time binning algorithms, and efficient post-inspection review capability.

Inspection imaging conditions The inspection imaging conditions play a critical role in highlighting defects of interest and suppressing noise sources. EBI imaging of defects depends on several variables. For example, the landing energy, beam current, and the field conditions imposed on the wafer surface can turn on and off certain voltage contrast signals by imposing a forward or reverse bias of the structures. Different imaging configurations may be set up as different tests to isolate specific electrical signals. Landing energy is also important because it affects the relative secondary electron yield and, hence, material contrast of the surface. Furthermore, landing energy can affect the depth of penetration and edge contrast. This ability to tune the material contrast, depth of penetration, and edge contrast allows the user to preferentially detect certain physical defect types.

Time Figure 3. EBI provided Toshiba with the ability to detect electrical defects in-line, helping them ramp their 90 nm process about 25 percent faster than their 130 nm process ramp. 3

Baseline yield improvement and excursion control in the BEOL

Buried electrical defects are usually first found during development, but also recur in volume production. The voltage contrast mode available in EBI provides a unique capability to detect


C

During ramp and early into production, TI DMOS6 noticed yield issues at final test for a DSP product. Optical inline inspections detected defect signatures in the gate loop which were unconfirmed by inline probe, post Metal 2 CMP. When the flagged wafers were inspected using EBI in VC mode post Metal 2 CMP, open contacts were verified. Root cause was determined to be a defect type called poly pillars (Figure 4a), which occurred at a defect density below that which could be resolved by inline probe. After the process was corrected, a lower-cost optical inspection was introduced at sidewall etch to control excursions.7 During this investigation a second buried, open-via defect type was discovered using EBI on the same wafers. Via contamination (Figure 4b) was found to be blocking the sputter etch prior to barrier metal deposition, resulting in un-landed vias. This buried defect could not be detected optically, so an EBI inspection point

Figure 4. Open contacts and via defects were causing yield problems at TI DMOS6. While the poly pillar defect (a) can be monitored in production using a traditional optical inspection

V

E

R

S

T

O

R

Y

Detection can also be weighted to preferentially detect VC defects by selecting an appropriate pixel size for the inspection. Increasing pixel size reduces the capture of small physical defects while still maintaining a high capture rate for most VC defects (and at very fast inspection speeds). Finally, effective charge control is also necessary to minimize nuisance defects and to allow aggressive thresholds. The KLA-Tencor eS30, with the widest range of possible imaging configurations, provides users with the unique capability to implement the defect detection scheme most appropriate to the problem at hand. Run-time algorithms In some cases, the optimal imaging conditions may not completely suppress nuisance defects. Therefore, a second level of nuisance filtering has been added to the eS30 platform. This filter, known as WISE™ (Wafer Inspection Sensitivity Enhancer), allows the user to reject unwanted defects during inspection. The remaining defects of interest (i.e., those that are not suppressed by the imaging and pass through the WISE nuisance filter) can then be separated into different bins through the application of iADC, or inline automatic defect classification. iADC operates on the as-detected, multipixel image “patches” of the wafer at each defect location. A common eS30 iADC implementation is to bin defects into dark VC, bright VC, multiple VC, and physical defects. Review sorting algorithms The final binning operation is to sort the defects using a rules-based approach for selected manual review on the eS30. The majority of Cu fabs perform some manual review on the EBI tool due to the built-in high resolution SEM imaging, state-of-the-art VC imaging capability, and simply the convenience and time savings of not having to move the wafer to a different tool. The review sorting tools allow the user to apply further granularity to the bins created by iADC. Unlike the run-time algorithms, these are rule-based and so no previous setup is required. This is useful for cases in which defects resemble one another, e.g., single dark VC and small dark particle.

was introduced inline to monitor for open vias. This log-point enabled TI to reduce the magnitude and frequency of openvia excursions and drove a baseline yield improvement of 15 to 20 percent (Figure 5).7

Darl VC D0

these buried defects on product wafers inline — catching excursions that otherwise would not be found until final test. Many Cu fabs use this e-beam inline electrical inspection to improve baseline yield and to monitor for excursions. For example, Texas Instruments’ 300 mm DMOS6 fab used EBI for volume production of their 130 nm devices, and for prototyping runs of 90 nm devices.

O

Avg. D0 Trend

Individual Production Lots

after sidewall etch, the via contamination defect

Figure 5. Texas Instruments DMOS6 improved their baseline yield by 15 to 20 percent by using EBI

requires EBI monitoring in volume production. 7

monitoring at Metal 2 CMP. This chart shows the open-via (dark VC) defect density trend over time. 7

Spring 2004

www.kla-tencor.com/magazine

17


Defect Density Yield

C

O

V

E

R

S

T

O

R

Y

typical 300 mm, 20,000 WSPM, sub-130 nm design rule Cu logic fab. In this implementation there are three eS30 EBI tools: one tool for FEOL monitoring (silicide and contact), one tool for BEOL monitoring (Cu CMP and via etch steps), and one tool for engineering analysis. A dedicated engineering analysis tool is necessary to drive baseline yield improvements throughout the technology life-cycle; this tool also would function as a backup for the production tools during maintenance periods.

T01B T06B T06C

Tool maintenance

Homogenization of etch chamber cleaning

Micro-masking detected as dark defects Reticle issue

6 Weeks Savings Before Final Wafer Test

Lot

Figure 6. Altis used EBI line monitoring to detect volume production excursions, enabling them to take corrective action six weeks sooner than if excursions were detected at final test. 9

Baseline yield improvement and excursion control in the FEOL

In addition to the Cu module, nonvisual defect detection is also important in the FEOL — particularly for contacts. Altis Semiconductor describes implementation of EBI monitoring to detect excursions inline at contact (W CMP) as part of a comprehensive methodology that also includes EBI monitoring at M1, M2, M3 CMP, CoSi, and Via 1 etch.9 In one case, SRAM contact post W CMP, several excursions went undetected until final test. Using the voltage contrast capability inherent in EBI to flag open contacts, Altis was able to quickly identify contamination and photo/etch issues. These were later traced to inhomogeneous etch chamber cleaning and micro masking on reticles, respectively. Figure 6 shows the EBI defect yield trend chart for three contact etch chambers. Numerous excursions occurred over the study period. In each case, the inline EBI monitor allowed Altis to detect the excursion and take corrective measures six weeks earlier than if they had relied on final test. In another case, EDRAM contact post W CMP, failure rates were on 18

Spring 2004

20X return on investment (ROI) for a 20K WSPM, 300 mm fab

The model fab results were derived from the benchmarking survey results described in Table 1, case studies and input from KLA-Tencor EBI customers, analysis of excursion data of over 800 lots of material from EBI monitoring at multiple fabs, and performance data from the eS30 e-beam inspection system. Similar examples of the excursion analysis methodology are described in detail by Nurani12 and Soucek.7

Figure 7 depicts the optimal implementation of EBI technology for a

The three-year ROI associated with this optimal implementation is

average 3.7 times the failure rate of a reference SRAM design. By using EBI monitoring to drive process improvement activities, the EDRAM failure rate was driven down to, on average, 1.2 times the failure rate of the reference SRAM design.

FEOL LM

EA/Backup

BEOL LM

1 wafer/lot, 33% of lots, ~1 hr/wafer

Cobalt/Nickel Silicide

Leakage Shorts

Contact Etch or CMP

Under-etched, residue, missing, or partial contacts

Via 1 or Metal 2 CMP

Under-etched, residue, missing, or partial contacts

Metal 3+ CMP

Voids; Underetched, residue, missing, or partial vias; Shorts

Figure 7. Optimal EBI implementation for a 20K WSPM, 300 mm copper fab (sub 130 nm) includes three eS30 EBI tools.

Yield Management Solutions


C

• Leading edge design rule with an average selling price (ASP) of $10/die, declining by 10 percent per quarter during yield ramp. • Nominal time for development (reach 10 percent yield) is 12 months at 1000 WSPM. • Nominal time for ramp (10 percent to 60 percent yield) is 18 months at 5000 WSPM. • EBI implementation provides faster learning cycles (inline versus final test) which, in turn, accelerate development and ramp each by six weeks. This 10 percent reduction in time to market is conservative relative to the 25 percent improvement reported by Mizuta3 (Toshiba). • EBI monitoring in volume production is assumed to detect one unique excursion every three months at each of the four layers shown in Figure 7. These excursions are further assumed to affect only one of eight lots (one of eight chambers, hoods, etc.), in which the yield on affected wafers drops from 70 percent to 35 percent. Detecting these electrical defects inline instead of at final test is estimated to increase yield by 8 percent. This is a conservative estimate relative to the 15 to 20 percent yield improvement reported by Soucek7 (Texas Instruments) and other sites that have implemented EBI monitoring in volume production.

E

R

S

T

O

R

Y

Additionally, memory process flow appears to be more susceptible to small physical defects that cannot be detected inline with technologies other than EBI. As a group, memory fabs therefore tend to monitor more for physical defects than Cu fabs and, as a result, use smaller pixel sizes. More detail on e-beam inspection applications in memory fabs can be found in recent papers from Samsung,8 ProMOS Technologies,12 and Macronix13 for stacked-capacitor DRAM, deep-trench DRAM, and flash memory processes, respectively.

This trend will continue to be important going forward. The production ROI illustrates the increasing importance of detecting electrical defect excursions inline. Summary

New technology requirements have led to adoption of e-beam inspection in all phases of the technology cycle — development, ramp and production. A new EBI system (eS30) has

been introduced by KLA-Tencor which combines significantly enhanced throughput and sensitivity, with the defect binning and reliability required for production. Modeling of a 20,000 WSPM logic fab indicates that three high throughput EBI tools provide the optimum ROI for the fab. These results have been validated by several leading chipmakers and serve as a model for new fab space allocation.

100%

Excursion Control $206 Million

90% 80%

Faster ramp $84 Million

70% 60% 50% 40%

Faster Development $244 Million

30%

The large value for ROI in development is consistent with the near universal adoption of EBI for Cu development applications. EBI has been shown to provide unique capability to shorten learning cycles in development and ramp, leading to faster time to market and higher ASPs.

V

E-Beam inspection in memory fabs EBI monitoring in memory fabs is undergoing adoption growth similar to that seen in Cu fabs. While memory processes do not generally employ Cu interconnects, the aspect ratios for contact and deep trench memory structures are typically much higher than those for logic devices. As a result, EBI is often necessary to monitor for high-aspect-ratio defectivity such as under-etch and residue in contacts.

Die YIield

estimated to exceed 20X (Figure 8). Key assumptions used in the analysis include the following:

O

20% 10% 0% 0

2

4

6

8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 Months

Figure 8. Impact of optimal EBI implementation on yield cur ve and return on investment.

Spring 2004

www.kla-tencor.com/magazine

19


C

O

V

E

R

S

T

8.

References 1.

2.

3.

4.

5.

6.

7.

20

O

This article first appeared in abbreviated form as “e-Beam Inspection: Best Practices for Copper Logic and Foundry Fabs,” David W. Price, Todd Henry, and Robert Fiordalice, Proceedings from the 2003 IEEE International Symposium on Semiconductor Manufacturing, pp. 396-399. San Jose, California, October 1, 2003. R. Cappel and J. Rathert, “The Advantages of Inline electron-Beam Inspection,” Yield Management Solutions Magazine, Vol. 2, Issue 3, Summer, 2000. N. Mizuta and T. Amai, “Effective Voltage Contrast Inspection Techniques for Ramping 90 nm Logic Process,” presented at Semicon Japan Yield Management Seminar, Makuhari, Japan, December 5, 2002. B. Hinschberger, “Applications of e-beam inspection in a mixed Production and R&D Environment,” presented at SEMICON Europa Yield Management Seminar, San Francisco, CA, April 17, 2002. H. Chen. “Applications of a Foundry Fab eS20XP to Improve FEOL to BEOL Yield,” presented at SEMICON West Yield Management Seminar, San Francisco, CA, July 18, 2001. J. Fretwell. “Applications of E-Beam Wafer Inspection for Inline Monitoring of Advanced Logic Process Development using Inlaid Copper Te c h n o l o g y. ” P r e s e n t e d a t SEMICON West Yield Management Seminar, San Francisco, CA, July, 2000. M. Soucek, J. Anderson, H. Chahal, D.W. Price, K. Boahen, and L. Breaux, “Electrical Line Monitoring in a 300 mm Copper Fab,” Semiconductor International, Vol. 26, No.8, pp. 80-90. July, 2003.

Spring 2004

9.

10.

11.

12.

13.

14.

R

Y

J. Malik and M. Gonzalez, “eS20XP Line Monitoring Implementation at Samsung Austin Semiconductor”. Presented at Semicon Taiwan Yield Management Seminar, Hsin-Chu, Taiwan, August 20, 2002. S. Desmercières, P. Bertin, G. Roy, S. Schön, J.L. Baltzinger, M. Bostelmann, M. Mercier, J.Y. Nots, and P. Lefebvre, “E-Beam Inspection Methodology and Line Monitoring Applications for Copper Technology,” presented at SEMICON West Yield Management Seminar, San Francisco, CA, July 15, 2003. P. Lee, “Production Evaluation of KLA-Tencor eS30 Ebeam Inspection System”. Presented at SEMICON West Yield Management Seminar, San Francisco, CA, July 15, 2003. K. Weiner, T. Henry, A. Satya, G. Verma, R. Wu, O. Patterson, B. Crevasse, K. Cauffman, and W. Cauffman, “Defect Management for 300mm and 130nm Technologies, Part 3: Another Day, Another Yield Learning Cycle”. Yield Management Solutions. pp 15-27. Winter 2002. R. Nurani, et al. Inline Defect Sampling Methodology in Yield Management: An Integrated Framework, IEEE Transactions on Semiconductor Manufacturing, vol. 9, No. 4, November 1996. W. Wang, D. Chen, C.H. Chien, C.I. Chang, T. Wang, “Implementation of eS20XP E-beam Inspection for Line Monitoring in a 300 mm Production Fab”. Presented at Taiwan Yield Management Seminar, Hsinchu, Taiwan, Nov. 7, 2003. C.H. Hsu, S.T. Ma, Y.M. Wang, “Contact photo/etch process window optimization & yield improvement by eS20XP”. Presented at Taiwan Yield Management Seminar, Hsinchu, Taiwan, Nov. 7, 2003.

Yield Management Solutions

DAVID W. PRICE has six years’ experience as a senior applications engineer and regional product manager with KLA-Tencor. He has helped implement e-beam inspection technology at over 15 Cu fabs worldwide. He holds a Ph.D. in Mechanical Engineering from the University of Texas at Austin. TODD HENRY has more than 18 years of experience in the product, process, integration and yield areas with AT&T, Lucent Technology, Agere Systems. He has been a product marketing director with KLA-Tencor for the last two years. He holds a B.S. in Chemical Engineering from Carnegie Mellon University and a MBA from Saint Joseph’s University. ROBERT FIORDALICE is a senior director in KLA Tencor’s Yield Technology Solutions Division. Prior to joining KLA-Tencor in 2000, Bob managed the BEOL development team at Motorola Inc.’s Research and Development Laboratory in Austin, Texas. He was instrumental in helping Motorola productize Cu interconnect and low-k dielectric. Bob has over twenty patents in the area of semiconductor processing and integration.


Don’t miss the e-Line express. Introducing

eS30 Electrical Line Monitoring. Defects occurring within unfilled and filled contacts and vias are among the biggest barriers to production success at 130 nm and below. And the only way to detect them is with dedicated, high-speed electrical line monitoring at every key process step. Introducing the eS30—the industry’s fastest e-beam inspection system. With more than 2x throughput and sensitivity improvements, and the ability to rapidly trend by defect type, the eS30 meets the production requirements for electrical line monitoring. And it maintains the engineering analysis capabilities you need for development and ramp. All in an easy to use, single platform solution. Next stop: eS30. The fastest way to improve and protect your yield.

Learn how one fab used eLM to improve yield by 15-20% in one layer alone. Visit www.kla-tencor.com/eLM Accelerating Yield ® ©2003 KLA-Tencor Corporation


Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.